CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIFO verilog

搜索资源列表

  1. fifo

    0下载:
  2. 同步FIFO( Verilog HDL )
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3507
    • 提供者:levis
  1. FIFO

    0下载:
  2. 异步FIFO verilog实现 异步FIFO verilog实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4226
    • 提供者:lyjIC
  1. 异步fifo的两种经典设计

    0下载:
  2. 异步fifo的两种经典设计,英文文章,里面含有verilog源代码
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-10-30
    • 文件大小:220577
    • 提供者:handsomexun
  1. 采用格雷码的FIFO控制模块(verilog)

    2下载:
  2. 异步FIFO常用于存储、缓冲在两个异步时钟之间的数据传输。在异步电路中,由于时钟之间周期和相位完全独立,因而数据的丢失概率不为零。如何设计一个高可靠性、高速的异步FIFO电路便成为一个难点。本例采用格雷码方式,用verilog语言实现了异步FIFO控制,大大降低误码率,提高了可靠性。
  3. 所属分类:源码下载

  1. 用verilog写的对ad0809的控制

    1下载:
  2. 用verilog写的对ad0809的控制,完整工程,希望对大家能有帮助,Written using Verilog for ad0809 control, complete works, in the hope that we can help
  3. 所属分类:VHDL编程

    • 发布日期:2016-08-25
    • 文件大小:4339486
    • 提供者:侯训平
  1. fifo_32_4321.rar

    0下载:
  2. 用verilog写的输出数据宽度可变的FIFO,输入数据为32-bit,输出数据可以配置为4-1任意bit。有设计文件和testbench,Use verilog to write a variable width of the output data FIFO, input data for the 32-bit, output data can be configured as 4-1 arbitrary bit. There are design files and testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5198
    • 提供者:keven
  1. FIFO64

    0下载:
  2. FIFO级联,利用verilog语言实现Xilinx FIFO18单元的多个级联,增大FIFO深度。-FIFO cascade, using Verilog Xilinx FIFO18 language to achieve a number of cascade units, increasing the FIFO depth.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:3490
    • 提供者:blackmew
  1. FIFO_8_8

    0下载:
  2. FIFO先进先出队列,一种缓存、或一种管道、设备、接口(Verilog HDL程序,内附说明)-FIFO FIFO queue, a cache, or a pipeline, equipment, Interface (Verilog HDL program, containing a note)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4622
    • 提供者:镜子
  1. FIFO-verilog

    1下载:
  2. 两种异步FIFO设计以及源代码(Verilog)-Two asynchronous FIFO design and source code (Verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:12021
    • 提供者:范先龙
  1. UART_spec

    0下载:
  2. a UART model with FIFO buffer, design with verilog
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:144964
    • 提供者:quang
  1. uart_EP3C16_FIFO

    1下载:
  2. Verilog编写的串口RS232收发字符串程序,使用FIFO作为数据缓冲区,有效收发字符串长度为256字节,解决了利用串口调试工具与FPGA通讯只能收发单字节的问题.-Programs for uart/RS232, it can receive and transmit strings.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-10
    • 文件大小:6756352
    • 提供者:515666524
  1. FIFO

    2下载:
  2. 用verilog实现异步FIFO,代码中有两个模块,使用时注意顶层模块和底层模块,用quartus2即可打开直接使用。-Verilog using Asynchronous FIFO, the code has two modules, when the attention of top-level module and the bottom module, with direct access to open quartus2.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-04
    • 文件大小:2357
    • 提供者:杨帆
  1. lcd-code

    1下载:
  2. 比较完整的LCD接口代码,verilog编写,分为6800和8080两种CPU接口,且有完整的仿真程序-Relatively complete LCD interface code, verilog prepared 6800 and 8080 is divided into two types of CPU interfaces, and there is a complete simulation program
  3. 所属分类:Graph Recognize

    • 发布日期:2017-04-09
    • 文件大小:1832615
    • 提供者:李佳
  1. FPGA_FIFO

    0下载:
  2. 使用Verilog编写的同步FIFO,可通过设置程序中的DEPTH设置FIFO的深度,FIFO_WRITE_CLOCK上升沿向FIFO中写入数据, FIFO_READ_CLOCK上升沿读取数据。本程序对FIFO上层操作简单实用。-Prepared by the use of Verilog synchronous FIFO, through the setup program in the FIFO depth DEPTH settings, FIFO_WRITE_CLOCK rising
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1323
    • 提供者:张键
  1. asynchronous-FIFO-structure

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:545704
    • 提供者:john
  1. asyn_fifo

    0下载:
  2. verilog编写的异步fifo源代码,asyn_fifo.v为顶层,调用其他四个文件-asynchronous fifo prepared Verilog source code, asyn_fifo.v for top-level, call the other four documents
  3. 所属分类:OS Develop

    • 发布日期:2017-03-23
    • 文件大小:2411
    • 提供者:nihao
  1. FIFO

    0下载:
  2. 用VERILOG写的FIFO程序,可以直接引用经本人测试-VERILOG written using FIFO procedures, can be directly invoked by the I test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1125821
    • 提供者:李俭
  1. AS_FIFO_DESIGN_Verilog

    0下载:
  2. 使用Verilog硬件描述语言完成了一个异步FIFO的设计,供相关硬件开发人员参考。-Verilog hardware descr iption language used to complete an asynchronous FIFO design, hardware development for the relevant reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2980
    • 提供者:小米
  1. fifo_src

    0下载:
  2. verilog语言实现,利用BlockRAM实现FIFO。-Verilog language, the use of BlockRAM achieve FIFO.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2859
    • 提供者:blackmew
  1. FIFO_2

    0下载:
  2. VERILOG Synchronous FIFO. 4 x 16 bit words.-VERILOGSynchronous FIFO. 4 x 16 bit words.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2330
    • 提供者:likui
« 1 23 4 5 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com